電子工作

電子回路

光を感じて鳴る電子オルゴール

電子オルゴールを作ってみました。CdSセルで光を検知し、明るくなったらメロディICを起動して、圧電スピーカを鳴らします。 孫が遊びにきたおりにメロディICを鳴らして遊んだのですが、こいつをオルゴールにして小物入れとか引き出しとかに入れておい...
Arduino

ブラシ付モータ FA-130RA を Hブリッジ回路で PWM制御する (4) / 回転数を PID制御する

ブラシ付モータ FA-130RA-2270 を、Hブリッジ回路で駆動し、PWM で速度制御しています。今回は、回転数を一定にする制御を、PID制御でおこなってみます。 前回は、ギヤボックスの出力軸にエンコーダを取り付け、回転数をフィードバッ...
Arduino

ブラシ付モータ FA-130RA を Hブリッジ回路で PWM制御する (3) / エンコーダをつくる

ブラシ付モータ FA-130RA-2270 を、Hブリッジ回路で駆動し、PWM で速度制御しています。今回は、エンコーダを取り付け、回転数を検出できるようにします。 前回までに、ブラシ付モータを回すための回路をつくり、Arduino のスケ...
Arduino

ブラシ付モータ FA-130RA を Hブリッジ回路で PWM制御する (2) / スケッチを描く

ブラシ付モータ FA-130RA-2270 を回します。モータのドライブは Hブリッジ回路を使用し、PWM で速度を制御します。 前回は、モータを回すための回路をつくりました。ポイントは、PWM信号の周波数をどうするか、でしたね。 今回はモ...
Arduino

ブラシ付モータ FA-130RA を Hブリッジ回路で PWM制御する (1) / 回路をつくる

ブラシ付モータ FA-130RA-2270 (*1) を回します。モータのドライブは Hブリッジ回路を使用し、PWMで速度を制御します。 (*1) マブチモータとおなじ品番ですが、秋月電子通商で購入した MERCURY MOTOR 製の互換...
Arduino

冷却ファンの PID制御 (5) / 制御の状況

冷却ファンの回転数を検出し、PID制御する回路を作ります。 前回までに、冷却ファンを PWM駆動し、回転数をフィードバックして、Arduino で PID制御することができました。 いい感じに動いてます。が、じつは、どんなのがいい感じなのか...
Arduino

冷却ファンの PID制御 (4) / 回転数を PID制御する

冷却ファンの回転数を検出し、PID制御する回路を作ります。 前回までに、ファンの制御に必要なフィードバック回路ができあがりました。 今回はいよいよ、ファンの回転数を PID制御してみようと思います。毎度まいどですが、PID制御についてはググ...
Arduino

冷却ファンの PID制御 (3) / Arduino から制御する回路

冷却ファンの回転数を検出し、PID制御する回路を作ります。 前回は、じっさいに CPU冷却ファンを回転させ、回転数センサからの出力を Arduino NANO で検出、7セグメントLED で回転数を表示できるようにしました。 今回は、ファン...
Arduino

冷却ファンの PID制御 (2) / パルス周期と回転数

冷却ファンの回転数を検出し、PID制御する回路を作ります。 前回は、ファンを回して回転数センサから出力を取りだし、表示するための回路を作りました。回路は、ファンの PWM駆動回路、回転数パルスの検出回路、そして 7セグメントLED 表示器の...
Arduino

冷却ファンの PID制御 (1) / ファンモータ PWM駆動回路

冷却ファンの回転数を検出し、PID制御する回路を作ります。 以前から何度か回してみている冷却ファン。こいつの PWM駆動はやりましたけど、回転数を検出するのは、これまでちゃんとやってなかったです。そこで、ファンの回転数を検出してフィードバッ...
電子回路

7セグメントLEDデコーダ TC4511 の表示フォントを変更する

7セグメントLEDデコーダ TC4511 が出力する表示フォントを変更する組み合わせ回路を作りました。 TC4511 が表示する数値のうち「6」「7」「9」のフォントが嫌いだという記事を、たまに目にします。で、違うデコーダを探しても、なんか...
電子回路

加算器でカウンタをつくる / 10進カウンタ

4ビット加算器を使った 10進カウンタを、ロジックICで作ります。アップカウンタ、ダウンカウンタの切り換え付きとしました。 前回は、4ビット加算器を使って 16進カウンタ (4ビットカウンタ) を作りました。 毎度のことですが、16進カウン...
電子回路

加算器でカウンタを作る / 16進カウンタ

4ビット加算器を使った 16進カウンタ (4ビットカウンタ) を、ロジックICで作ります。 前回は、4ビット加算器で 4ビットカウンタを構成し、シミュレーションしてみました。アップカウンタ、ダウンカウンタとしてうまく動くようです。 今回は、...
電子回路

加算器でカウンタを作る / 構成とシミュレーション

4ビット加算器を使った 4ビットカウンタを、ロジックICで作ります。 前回は、4ビット加算器をロジックICで作ってみました。 その過程で、加算器を使ってカウンタができんじゃねーのと思いついた。あ、思いついた、んじゃなくて、たぶん、どこかのサ...