加算器

電子回路

加算器でカウンタをつくる / 10進カウンタ

4ビット加算器を使った 10進カウンタを、ロジックICで作ります。アップカウンタ、ダウンカウンタの切り換え付きとしました。 前回は、4ビット加算器を使って 16進カウンタ (4ビットカウンタ) を作りました。 毎度のことですが、16進カウン...
電子回路

加算器でカウンタを作る / 16進カウンタ

4ビット加算器を使った 16進カウンタ (4ビットカウンタ) を、ロジックICで作ります。 前回は、4ビット加算器で 4ビットカウンタを構成し、シミュレーションしてみました。アップカウンタ、ダウンカウンタとしてうまく動くようです。 今回は、...
電子回路

加算器でカウンタを作る / 構成とシミュレーション

4ビット加算器を使った 4ビットカウンタを、ロジックICで作ります。 前回は、4ビット加算器をロジックICで作ってみました。 その過程で、加算器を使ってカウンタができんじゃねーのと思いついた。あ、思いついた、んじゃなくて、たぶん、どこかのサ...
電子回路

論理回路 / 4 ビット加算器をロジック IC でつくる

前回は、4 ビット加算器を構成し、動作を VerilogHDL でシミュレーションしました。 今回は、じっさいにロジック IC を使って、4 ビット加算器をつくってみたいと思います。 回路図 構成ブロック図 図 1 が、全体の構成ブロック図...
電子回路

論理回路 / 4 ビット加算器の構成とシミュレーション

前回は、半加算器、全加算器のおさらいと、動作のシミュレーションを行ないました。 1 ビットの加算をしてみたところで意味ないし、つまんないので、今回は 4 ビット加算器をつくってみましょう。まぁそれでも 30 まで (*) しか加算できない ...
電子回路

論理回路 / 半加算器と全加算器

論理回路のおさらいです。今回は、半加算器と全加算器について。 半加算器 A B S C 0000011010101101図 1. 半加算器の真理値表 半加算器は、1 ビットの加算を行なう回路だけど、下位からの桁上げ入力がない最下桁の加算器。...