論理回路

電子回路

トランジスタを使った RSフリップフロップ

前回は、ダイオードとトランジスタを使った論理回路をまとめてみました。 せっかくなので、それらを使ってフリップフロップをつくってみようと思います。 じつは、過去にも同様のことをやってみている (過去記事) のですが、まだまだ電子工作などやり始...
電子回路

ダイオード・トランジスタを使った論理回路

ダイオードとトランジスタを使った論理回路です。 ダイオードとトランジスタで構成される方式の論理回路を DTL (Diode-transistor logic)、トランジスタで構成される方式の論理回路を TTL (Transistor-tra...
電子回路

論理回路 / シフトレジスタ・ジョンソンカウンタ・リングカウンタ

デジタル時計をつくったりして時間があいてしまいましたが、論理回路の勉強を続けましょう。今回は、シフトレジスタと、それを応用したカウンタを試してみます。 シフトレジスタ シフトレジスタとは、複数のフリップフロップがカスケード接続された構造で、...
電子回路

74HC161A版 デジタル時計 / カウンタ部を基板に組む

回路ができた 74HC161A 版デジタル時計のカウンタ部分を、ユニバーサル基板に組んでみました。 現在つくっているデジタル時計については、以下より過去記事を参照ください。 カウンタ部の構成 図1. デジタル時計 全体ブロック図 デジタル時...
電子回路

74HC161A版 デジタル時計 / 時刻合わせ回路

4bit 同期カウンタIC 74HC161A をつかって、デジタル時計をつくります。これまでに、74HC161A をつかって秒、分、時をカウントする回路などをつくりました。 今回は、これらをつないで時刻合わせをおこなう制御回路をつくりましょ...
電子回路

74HC161A / 時計用の 2Hzクロックをつくる

60進カウンタ、12進カウンタができたので、今回はこれらに入れる基準クロックパルスを作ろうと思います。 2Hz クロックパルスの生成 以前のデジタル時計では、水晶発振子で基準クロックを発振させていました (過去記事)。そのままの回路でもいい...
電子回路

74HC161A / 時表示用 12進カウンタをつくる

4bit 同期バイナリカウンタ 74HC161A を使って 60進カウンタができました。これで、秒と分のカウントができますから、やっぱり次は、時をカウントする 12進カウンタをつくらないといけない、のです。 フリップフロップを使ったカウンタ...
電子回路

74HC161A / 同期 60進カウンタをつくる

4bit 同期バイナリカウンタ 74HC161A を使ってイネーブル付き 10進カウンタができましたので、今回は 60進カウンタをつくりましょう。これは、以前つくったデジタル時計の 60進カウンタ (過去記事) に置き換えることができます。...
電子回路

74HC161A / イネーブル付き 10進カウンタをつくる

4bit 同期バイナリカウンタ 74HC161A のカウンタ動作が確認できたので、今回は、イネーブル付きの 10進カウンタをつくってみようと思います。 イネーブル付き 10進カウンタを構成する 74HC161A で10進カウンタを構成する考...
電子回路

74HC161A / 4bit 同期バイナリカウンタIC を使ってみる

図1. 74HC161A(SOP16)+変換基板 74HC161A は 4bit 同期カウンタ IC、バイナリ出力のアップカウント動作を行ないます。同期プリセット付、非同期クリアタイプで、同期型カスケード接続のためのイネーブル入力とキャリー...
電子回路

ロジックICで作る電子サイコロ

電子サイコロを、ロジックIC で作ってみました。 この電子サイコロの回路は「しなぷすのハード製作記」を参考にさせていただきました。ありがとうございます。仕様、設計方法など詳細にご説明されていますので、ぜひご参照ください。 電子サイコロの仕様...
電子回路

加算器でカウンタをつくる / 10進カウンタ

4ビット加算器を使った 10進カウンタを、ロジックICで作ります。アップカウンタ、ダウンカウンタの切り換え付きとしました。 前回は、4ビット加算器を使って 16進カウンタ (4ビットカウンタ) を作りました。 毎度のことですが、16進カウン...
電子回路

加算器でカウンタを作る / 16進カウンタ

4ビット加算器を使った 16進カウンタ (4ビットカウンタ) を、ロジックICで作ります。 前回は、4ビット加算器で 4ビットカウンタを構成し、シミュレーションしてみました。アップカウンタ、ダウンカウンタとしてうまく動くようです。 今回は、...
電子回路

加算器でカウンタを作る / 構成とシミュレーション

4ビット加算器を使った 4ビットカウンタを、ロジックICで作ります。 前回は、4ビット加算器をロジックICで作ってみました。 その過程で、加算器を使ってカウンタができんじゃねーのと思いついた。あ、思いついた、んじゃなくて、たぶん、どこかのサ...